aboutsummaryrefslogtreecommitdiff
path: root/docs/kicad/ShelSP.kicad_sch
blob: bd980b1499918876f12fa11e26cd9779f28940d1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
1894
1895
1896
1897
1898
1899
1900
1901
1902
1903
1904
1905
1906
1907
1908
1909
1910
1911
1912
1913
1914
1915
1916
1917
1918
1919
1920
1921
1922
1923
1924
1925
1926
1927
1928
1929
1930
1931
1932
1933
1934
1935
1936
1937
1938
1939
1940
1941
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
1976
1977
1978
1979
1980
1981
1982
1983
1984
1985
1986
1987
1988
1989
1990
1991
1992
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
2030
2031
2032
2033
2034
2035
2036
2037
2038
2039
2040
2041
2042
2043
2044
2045
2046
2047
2048
2049
2050
2051
2052
2053
2054
2055
2056
2057
2058
2059
2060
2061
2062
2063
2064
2065
2066
2067
2068
2069
2070
2071
2072
2073
2074
2075
2076
2077
2078
2079
2080
2081
2082
2083
2084
2085
2086
2087
2088
2089
2090
2091
2092
2093
2094
2095
2096
2097
2098
2099
2100
2101
2102
2103
2104
2105
2106
2107
2108
2109
2110
2111
2112
2113
2114
2115
2116
2117
2118
2119
2120
2121
2122
2123
2124
2125
2126
2127
2128
2129
2130
2131
2132
2133
2134
2135
2136
2137
2138
2139
2140
2141
2142
2143
2144
2145
2146
2147
2148
2149
2150
2151
2152
2153
2154
2155
2156
2157
2158
2159
2160
2161
2162
2163
2164
2165
2166
2167
2168
2169
2170
2171
2172
2173
2174
2175
2176
2177
2178
2179
2180
2181
2182
2183
2184
2185
2186
2187
2188
2189
2190
2191
2192
2193
2194
2195
2196
2197
2198
2199
2200
2201
2202
2203
2204
2205
2206
2207
2208
2209
2210
2211
2212
2213
2214
2215
2216
2217
2218
2219
2220
2221
2222
2223
2224
2225
2226
2227
2228
2229
2230
2231
2232
2233
2234
2235
2236
2237
2238
2239
2240
2241
2242
2243
2244
2245
2246
2247
2248
2249
2250
2251
2252
2253
2254
2255
2256
2257
2258
2259
2260
2261
2262
2263
2264
2265
2266
2267
2268
2269
2270
2271
2272
2273
2274
2275
2276
2277
2278
2279
2280
2281
2282
2283
2284
2285
2286
2287
2288
2289
2290
2291
2292
2293
2294
2295
2296
2297
2298
2299
2300
2301
2302
2303
2304
2305
2306
2307
2308
2309
2310
2311
2312
2313
2314
2315
2316
2317
2318
2319
2320
2321
2322
2323
2324
2325
2326
2327
2328
2329
2330
2331
2332
2333
2334
2335
2336
2337
2338
2339
2340
2341
2342
2343
2344
2345
2346
2347
2348
2349
2350
2351
2352
2353
2354
2355
2356
2357
2358
2359
2360
2361
2362
2363
2364
2365
2366
2367
2368
2369
2370
2371
2372
2373
2374
2375
2376
2377
2378
2379
2380
2381
2382
2383
2384
2385
2386
2387
2388
2389
2390
2391
2392
2393
2394
2395
2396
2397
2398
2399
2400
2401
2402
2403
2404
2405
2406
2407
2408
2409
2410
2411
2412
2413
2414
2415
2416
2417
2418
2419
2420
2421
2422
2423
2424
2425
2426
2427
2428
2429
2430
2431
2432
2433
2434
2435
2436
2437
2438
2439
2440
2441
2442
2443
2444
2445
2446
2447
2448
2449
2450
2451
2452
2453
2454
2455
2456
2457
2458
2459
2460
2461
2462
2463
2464
2465
2466
2467
2468
2469
2470
2471
2472
2473
2474
2475
2476
2477
2478
2479
2480
2481
2482
2483
2484
2485
2486
2487
2488
2489
2490
2491
2492
2493
2494
2495
2496
2497
2498
2499
2500
2501
2502
2503
2504
2505
2506
2507
2508
2509
2510
2511
2512
2513
2514
2515
2516
2517
2518
2519
2520
2521
2522
2523
2524
2525
2526
2527
2528
2529
2530
2531
2532
2533
2534
2535
2536
2537
2538
2539
2540
2541
2542
2543
2544
2545
2546
2547
2548
2549
2550
2551
2552
2553
2554
2555
2556
2557
2558
2559
2560
2561
2562
2563
2564
2565
2566
2567
2568
2569
2570
2571
2572
2573
2574
2575
2576
2577
2578
2579
2580
2581
2582
2583
2584
2585
2586
2587
2588
2589
2590
2591
2592
2593
2594
2595
2596
2597
2598
2599
2600
2601
2602
2603
2604
2605
2606
2607
2608
2609
2610
2611
2612
2613
2614
2615
2616
2617
2618
2619
2620
2621
2622
2623
2624
2625
2626
2627
2628
2629
2630
2631
2632
2633
2634
2635
2636
2637
2638
2639
2640
2641
2642
2643
2644
2645
2646
2647
2648
2649
2650
2651
2652
2653
2654
2655
2656
2657
2658
2659
2660
2661
2662
2663
2664
2665
2666
2667
2668
2669
2670
2671
2672
2673
2674
2675
2676
2677
2678
2679
2680
2681
2682
2683
2684
2685
2686
2687
2688
2689
2690
2691
2692
2693
2694
2695
2696
2697
2698
2699
2700
2701
2702
2703
2704
2705
2706
2707
2708
2709
2710
2711
2712
2713
2714
2715
2716
2717
2718
2719
2720
2721
2722
2723
2724
2725
2726
2727
2728
2729
2730
2731
2732
2733
2734
2735
2736
2737
2738
2739
2740
2741
2742
2743
2744
2745
2746
2747
2748
2749
2750
2751
2752
2753
2754
2755
2756
2757
2758
2759
2760
2761
2762
2763
2764
2765
2766
2767
2768
2769
2770
2771
2772
2773
2774
2775
2776
2777
2778
2779
2780
2781
2782
2783
2784
2785
2786
2787
2788
2789
2790
2791
2792
2793
2794
2795
2796
2797
2798
2799
2800
2801
2802
2803
2804
2805
2806
2807
2808
2809
2810
2811
2812
2813
2814
2815
2816
2817
2818
2819
2820
2821
2822
2823
2824
2825
2826
2827
2828
2829
2830
2831
2832
2833
2834
2835
2836
2837
2838
2839
2840
2841
2842
2843
(kicad_sch (version 20230121) (generator eeschema)

  (uuid 5a1571d2-9eab-4efc-8681-53811a5db50d)

  (paper "A4")

  (lib_symbols
    (symbol "Connector:USB_C_Receptacle_USB2.0_14P" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
      (property "Reference" "J" (at 0 22.225 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Value" "USB_C_Receptacle_USB2.0_14P" (at 0 19.685 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Footprint" "" (at 3.81 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "https://www.usb.org/sites/default/files/documents/usb_type-c.zip" (at 3.81 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "usb universal serial bus type-C USB2.0" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "USB 2.0-only 14P Type-C Receptacle connector" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_fp_filters" "USB*C*Receptacle*" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "USB_C_Receptacle_USB2.0_14P_0_0"
        (rectangle (start -0.254 -17.78) (end 0.254 -16.764)
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (rectangle (start 10.16 -4.826) (end 9.144 -5.334)
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (rectangle (start 10.16 -2.286) (end 9.144 -2.794)
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (rectangle (start 10.16 0.254) (end 9.144 -0.254)
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (rectangle (start 10.16 2.794) (end 9.144 2.286)
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (rectangle (start 10.16 7.874) (end 9.144 7.366)
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (rectangle (start 10.16 10.414) (end 9.144 9.906)
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (rectangle (start 10.16 15.494) (end 9.144 14.986)
          (stroke (width 0) (type default))
          (fill (type none))
        )
      )
      (symbol "USB_C_Receptacle_USB2.0_14P_0_1"
        (rectangle (start -10.16 17.78) (end 10.16 -17.78)
          (stroke (width 0.254) (type default))
          (fill (type background))
        )
        (arc (start -8.89 -3.81) (mid -6.985 -5.7067) (end -5.08 -3.81)
          (stroke (width 0.508) (type default))
          (fill (type none))
        )
        (arc (start -7.62 -3.81) (mid -6.985 -4.4423) (end -6.35 -3.81)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (arc (start -7.62 -3.81) (mid -6.985 -4.4423) (end -6.35 -3.81)
          (stroke (width 0.254) (type default))
          (fill (type outline))
        )
        (rectangle (start -7.62 -3.81) (end -6.35 3.81)
          (stroke (width 0.254) (type default))
          (fill (type outline))
        )
        (arc (start -6.35 3.81) (mid -6.985 4.4423) (end -7.62 3.81)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (arc (start -6.35 3.81) (mid -6.985 4.4423) (end -7.62 3.81)
          (stroke (width 0.254) (type default))
          (fill (type outline))
        )
        (arc (start -5.08 3.81) (mid -6.985 5.7067) (end -8.89 3.81)
          (stroke (width 0.508) (type default))
          (fill (type none))
        )
        (circle (center -2.54 1.143) (radius 0.635)
          (stroke (width 0.254) (type default))
          (fill (type outline))
        )
        (circle (center 0 -5.842) (radius 1.27)
          (stroke (width 0) (type default))
          (fill (type outline))
        )
        (polyline
          (pts
            (xy -8.89 -3.81)
            (xy -8.89 3.81)
          )
          (stroke (width 0.508) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -5.08 3.81)
            (xy -5.08 -3.81)
          )
          (stroke (width 0.508) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 -5.842)
            (xy 0 4.318)
          )
          (stroke (width 0.508) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 -3.302)
            (xy -2.54 -0.762)
            (xy -2.54 0.508)
          )
          (stroke (width 0.508) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 -2.032)
            (xy 2.54 0.508)
            (xy 2.54 1.778)
          )
          (stroke (width 0.508) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -1.27 4.318)
            (xy 0 6.858)
            (xy 1.27 4.318)
            (xy -1.27 4.318)
          )
          (stroke (width 0.254) (type default))
          (fill (type outline))
        )
        (rectangle (start 1.905 1.778) (end 3.175 3.048)
          (stroke (width 0.254) (type default))
          (fill (type outline))
        )
      )
      (symbol "USB_C_Receptacle_USB2.0_14P_1_1"
        (pin passive line (at 0 -22.86 90) (length 5.08)
          (name "GND" (effects (font (size 1.27 1.27))))
          (number "A1" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 0 -22.86 90) (length 5.08) hide
          (name "GND" (effects (font (size 1.27 1.27))))
          (number "A12" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 15.24 15.24 180) (length 5.08)
          (name "VBUS" (effects (font (size 1.27 1.27))))
          (number "A4" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 10.16 180) (length 5.08)
          (name "CC1" (effects (font (size 1.27 1.27))))
          (number "A5" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 -2.54 180) (length 5.08)
          (name "D+" (effects (font (size 1.27 1.27))))
          (number "A6" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 2.54 180) (length 5.08)
          (name "D-" (effects (font (size 1.27 1.27))))
          (number "A7" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 15.24 15.24 180) (length 5.08) hide
          (name "VBUS" (effects (font (size 1.27 1.27))))
          (number "A9" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 0 -22.86 90) (length 5.08) hide
          (name "GND" (effects (font (size 1.27 1.27))))
          (number "B1" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 0 -22.86 90) (length 5.08) hide
          (name "GND" (effects (font (size 1.27 1.27))))
          (number "B12" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 15.24 15.24 180) (length 5.08) hide
          (name "VBUS" (effects (font (size 1.27 1.27))))
          (number "B4" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 7.62 180) (length 5.08)
          (name "CC2" (effects (font (size 1.27 1.27))))
          (number "B5" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 -5.08 180) (length 5.08)
          (name "D+" (effects (font (size 1.27 1.27))))
          (number "B6" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 0 180) (length 5.08)
          (name "D-" (effects (font (size 1.27 1.27))))
          (number "B7" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 15.24 15.24 180) (length 5.08) hide
          (name "VBUS" (effects (font (size 1.27 1.27))))
          (number "B9" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at -7.62 -22.86 90) (length 5.08)
          (name "SHIELD" (effects (font (size 1.27 1.27))))
          (number "S1" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
      (property "Reference" "C" (at 0.635 2.54 0)
        (effects (font (size 1.27 1.27)) (justify left))
      )
      (property "Value" "C" (at 0.635 -2.54 0)
        (effects (font (size 1.27 1.27)) (justify left))
      )
      (property "Footprint" "" (at 0.9652 -3.81 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "~" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "cap capacitor" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "Unpolarized capacitor" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_fp_filters" "C_*" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "C_0_1"
        (polyline
          (pts
            (xy -2.032 -0.762)
            (xy 2.032 -0.762)
          )
          (stroke (width 0.508) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -2.032 0.762)
            (xy 2.032 0.762)
          )
          (stroke (width 0.508) (type default))
          (fill (type none))
        )
      )
      (symbol "C_1_1"
        (pin passive line (at 0 3.81 270) (length 2.794)
          (name "~" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 0 -3.81 90) (length 2.794)
          (name "~" (effects (font (size 1.27 1.27))))
          (number "2" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "Device:R_US" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes)
      (property "Reference" "R" (at 2.54 0 90)
        (effects (font (size 1.27 1.27)))
      )
      (property "Value" "R_US" (at -2.54 0 90)
        (effects (font (size 1.27 1.27)))
      )
      (property "Footprint" "" (at 1.016 -0.254 90)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "~" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "R res resistor" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "Resistor, US symbol" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_fp_filters" "R_*" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "R_US_0_1"
        (polyline
          (pts
            (xy 0 -2.286)
            (xy 0 -2.54)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 2.286)
            (xy 0 2.54)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 -0.762)
            (xy 1.016 -1.143)
            (xy 0 -1.524)
            (xy -1.016 -1.905)
            (xy 0 -2.286)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 0.762)
            (xy 1.016 0.381)
            (xy 0 0)
            (xy -1.016 -0.381)
            (xy 0 -0.762)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 2.286)
            (xy 1.016 1.905)
            (xy 0 1.524)
            (xy -1.016 1.143)
            (xy 0 0.762)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
      )
      (symbol "R_US_1_1"
        (pin passive line (at 0 3.81 270) (length 1.27)
          (name "~" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 0 -3.81 90) (length 1.27)
          (name "~" (effects (font (size 1.27 1.27))))
          (number "2" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "Interface_USB:CP2102N-Axx-xQFN24" (in_bom yes) (on_board yes)
      (property "Reference" "U" (at -8.89 26.67 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Value" "CP2102N-Axx-xQFN24" (at 13.97 26.67 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Footprint" "Package_DFN_QFN:QFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm" (at 31.75 -26.67 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "https://www.silabs.com/documents/public/data-sheets/cp2102n-datasheet.pdf" (at 1.27 -19.05 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "USB UART bridge" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "USB to UART master bridge, QFN-24" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_fp_filters" "QFN*4x4mm*P0.5mm*" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "CP2102N-Axx-xQFN24_0_1"
        (rectangle (start -10.16 25.4) (end 10.16 -25.4)
          (stroke (width 0.254) (type default))
          (fill (type background))
        )
      )
      (symbol "CP2102N-Axx-xQFN24_1_1"
        (pin bidirectional line (at 12.7 5.08 180) (length 2.54)
          (name "~{RI}/CLK" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
        (pin no_connect line (at -10.16 -22.86 0) (length 2.54) hide
          (name "NC" (effects (font (size 1.27 1.27))))
          (number "10" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 12.7 -15.24 180) (length 2.54)
          (name "~{WAKEUP}/GPIO.3" (effects (font (size 1.27 1.27))))
          (number "11" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 12.7 -12.7 180) (length 2.54)
          (name "RS485/GPIO.2" (effects (font (size 1.27 1.27))))
          (number "12" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 12.7 -10.16 180) (length 2.54)
          (name "~{RXT}/GPIO.1" (effects (font (size 1.27 1.27))))
          (number "13" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 12.7 -7.62 180) (length 2.54)
          (name "~{TXT}/GPIO.0" (effects (font (size 1.27 1.27))))
          (number "14" (effects (font (size 1.27 1.27))))
        )
        (pin output line (at 12.7 -2.54 180) (length 2.54)
          (name "~{SUSPEND}" (effects (font (size 1.27 1.27))))
          (number "15" (effects (font (size 1.27 1.27))))
        )
        (pin no_connect line (at 10.16 -22.86 180) (length 2.54) hide
          (name "NC" (effects (font (size 1.27 1.27))))
          (number "16" (effects (font (size 1.27 1.27))))
        )
        (pin output line (at 12.7 0 180) (length 2.54)
          (name "SUSPEND" (effects (font (size 1.27 1.27))))
          (number "17" (effects (font (size 1.27 1.27))))
        )
        (pin input line (at 12.7 15.24 180) (length 2.54)
          (name "~{CTS}" (effects (font (size 1.27 1.27))))
          (number "18" (effects (font (size 1.27 1.27))))
        )
        (pin output line (at 12.7 17.78 180) (length 2.54)
          (name "~{RTS}" (effects (font (size 1.27 1.27))))
          (number "19" (effects (font (size 1.27 1.27))))
        )
        (pin power_in line (at 0 -27.94 90) (length 2.54)
          (name "GND" (effects (font (size 1.27 1.27))))
          (number "2" (effects (font (size 1.27 1.27))))
        )
        (pin input line (at 12.7 20.32 180) (length 2.54)
          (name "RXD" (effects (font (size 1.27 1.27))))
          (number "20" (effects (font (size 1.27 1.27))))
        )
        (pin output line (at 12.7 22.86 180) (length 2.54)
          (name "TXD" (effects (font (size 1.27 1.27))))
          (number "21" (effects (font (size 1.27 1.27))))
        )
        (pin input line (at 12.7 12.7 180) (length 2.54)
          (name "~{DSR}" (effects (font (size 1.27 1.27))))
          (number "22" (effects (font (size 1.27 1.27))))
        )
        (pin output line (at 12.7 10.16 180) (length 2.54)
          (name "~{DTR}" (effects (font (size 1.27 1.27))))
          (number "23" (effects (font (size 1.27 1.27))))
        )
        (pin input line (at 12.7 7.62 180) (length 2.54)
          (name "~{DCD}" (effects (font (size 1.27 1.27))))
          (number "24" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 0 -27.94 90) (length 2.54) hide
          (name "GND" (effects (font (size 1.27 1.27))))
          (number "25" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at -12.7 5.08 0) (length 2.54)
          (name "D+" (effects (font (size 1.27 1.27))))
          (number "3" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at -12.7 7.62 0) (length 2.54)
          (name "D-" (effects (font (size 1.27 1.27))))
          (number "4" (effects (font (size 1.27 1.27))))
        )
        (pin power_in line (at 2.54 27.94 270) (length 2.54)
          (name "VIO" (effects (font (size 1.27 1.27))))
          (number "5" (effects (font (size 1.27 1.27))))
        )
        (pin power_in line (at 0 27.94 270) (length 2.54)
          (name "VDD" (effects (font (size 1.27 1.27))))
          (number "6" (effects (font (size 1.27 1.27))))
        )
        (pin power_in line (at -2.54 27.94 270) (length 2.54)
          (name "VREGIN" (effects (font (size 1.27 1.27))))
          (number "7" (effects (font (size 1.27 1.27))))
        )
        (pin input line (at -12.7 10.16 0) (length 2.54)
          (name "VBUS" (effects (font (size 1.27 1.27))))
          (number "8" (effects (font (size 1.27 1.27))))
        )
        (pin input line (at -12.7 22.86 0) (length 2.54)
          (name "~{RST}" (effects (font (size 1.27 1.27))))
          (number "9" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "Logic_LevelTranslator:TXB0104PW" (in_bom yes) (on_board yes)
      (property "Reference" "U" (at -6.35 16.51 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Value" "TXB0104PW" (at 3.81 16.51 0)
        (effects (font (size 1.27 1.27)) (justify left))
      )
      (property "Footprint" "Package_SO:TSSOP-14_4.4x5mm_P0.65mm" (at 0 -19.05 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "http://www.ti.com/lit/ds/symlink/txb0104.pdf" (at 2.794 2.413 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "Level-Shifter CMOS-TTL-Translation" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "4-Bit Bidirectional Voltage-Level Translator, Auto Direction Sensing and ±15-kV ESD Protection, 1.2 - 3.6V APort, 1.65 - 5.5V BPort, TSSOP-14" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_fp_filters" "TSSOP*14*5mm*P0.65mm*" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "TXB0104PW_0_1"
        (rectangle (start -7.62 -15.24) (end -7.62 -12.7)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (rectangle (start -7.62 15.24) (end 7.62 -15.24)
          (stroke (width 0.254) (type default))
          (fill (type background))
        )
        (polyline
          (pts
            (xy -2.286 -7.747)
            (xy -2.286 -6.731)
            (xy -0.508 -6.731)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -2.286 -2.667)
            (xy -2.286 -1.651)
            (xy -0.508 -1.651)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -2.286 2.413)
            (xy -2.286 3.429)
            (xy -0.508 3.429)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -2.286 7.493)
            (xy -2.286 8.509)
            (xy -0.508 8.509)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 3.048 -7.747)
            (xy 3.048 -8.763)
            (xy 1.27 -8.763)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 3.048 -2.667)
            (xy 3.048 -3.683)
            (xy 1.27 -3.683)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 3.048 2.413)
            (xy 3.048 1.397)
            (xy 1.27 1.397)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 3.048 7.493)
            (xy 3.048 6.477)
            (xy 1.27 6.477)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -0.508 -8.763)
            (xy -2.286 -8.763)
            (xy -2.286 -7.747)
            (xy -4.064 -7.747)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -0.508 -8.763)
            (xy 1.27 -7.747)
            (xy 1.27 -9.779)
            (xy -0.508 -8.763)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -0.508 -3.683)
            (xy -2.286 -3.683)
            (xy -2.286 -2.667)
            (xy -4.064 -2.667)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -0.508 -3.683)
            (xy 1.27 -2.667)
            (xy 1.27 -4.699)
            (xy -0.508 -3.683)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -0.508 1.397)
            (xy -2.286 1.397)
            (xy -2.286 2.413)
            (xy -4.064 2.413)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -0.508 1.397)
            (xy 1.27 2.413)
            (xy 1.27 0.381)
            (xy -0.508 1.397)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -0.508 6.477)
            (xy -2.286 6.477)
            (xy -2.286 7.493)
            (xy -4.318 7.493)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -0.508 6.477)
            (xy 1.27 7.493)
            (xy 1.27 5.461)
            (xy -0.508 6.477)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 1.27 -6.731)
            (xy -0.508 -7.747)
            (xy -0.508 -5.715)
            (xy 1.27 -6.731)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 1.27 -6.731)
            (xy 3.048 -6.731)
            (xy 3.048 -7.747)
            (xy 4.318 -7.747)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 1.27 -1.651)
            (xy -0.508 -2.667)
            (xy -0.508 -0.635)
            (xy 1.27 -1.651)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 1.27 -1.651)
            (xy 3.048 -1.651)
            (xy 3.048 -2.667)
            (xy 4.318 -2.667)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 1.27 3.429)
            (xy -0.508 2.413)
            (xy -0.508 4.445)
            (xy 1.27 3.429)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 1.27 3.429)
            (xy 3.048 3.429)
            (xy 3.048 2.413)
            (xy 4.318 2.413)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 1.27 8.509)
            (xy -0.508 7.493)
            (xy -0.508 9.525)
            (xy 1.27 8.509)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 1.27 8.509)
            (xy 3.048 8.509)
            (xy 3.048 7.493)
            (xy 4.318 7.493)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
      )
      (symbol "TXB0104PW_1_1"
        (pin power_in line (at -2.54 17.78 270) (length 2.54)
          (name "VCCA" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 10.16 -7.62 180) (length 2.54)
          (name "B4" (effects (font (size 1.27 1.27))))
          (number "10" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 10.16 -2.54 180) (length 2.54)
          (name "B3" (effects (font (size 1.27 1.27))))
          (number "11" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 10.16 2.54 180) (length 2.54)
          (name "B2" (effects (font (size 1.27 1.27))))
          (number "12" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 10.16 7.62 180) (length 2.54)
          (name "B1" (effects (font (size 1.27 1.27))))
          (number "13" (effects (font (size 1.27 1.27))))
        )
        (pin power_in line (at 2.54 17.78 270) (length 2.54)
          (name "VCCB" (effects (font (size 1.27 1.27))))
          (number "14" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at -10.16 7.62 0) (length 2.54)
          (name "A1" (effects (font (size 1.27 1.27))))
          (number "2" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at -10.16 2.54 0) (length 2.54)
          (name "A2" (effects (font (size 1.27 1.27))))
          (number "3" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at -10.16 -2.54 0) (length 2.54)
          (name "A3" (effects (font (size 1.27 1.27))))
          (number "4" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at -10.16 -7.62 0) (length 2.54)
          (name "A4" (effects (font (size 1.27 1.27))))
          (number "5" (effects (font (size 1.27 1.27))))
        )
        (pin no_connect line (at -7.62 -12.7 0) (length 2.54) hide
          (name "NC" (effects (font (size 1.27 1.27))))
          (number "6" (effects (font (size 1.27 1.27))))
        )
        (pin power_in line (at 0 -17.78 90) (length 2.54)
          (name "GND" (effects (font (size 1.27 1.27))))
          (number "7" (effects (font (size 1.27 1.27))))
        )
        (pin input line (at -10.16 12.7 0) (length 2.54)
          (name "OE" (effects (font (size 1.27 1.27))))
          (number "8" (effects (font (size 1.27 1.27))))
        )
        (pin no_connect line (at 7.62 -12.7 180) (length 2.54) hide
          (name "NC" (effects (font (size 1.27 1.27))))
          (number "9" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "Power_Protection:SP0503BAHT" (pin_names hide) (in_bom yes) (on_board yes)
      (property "Reference" "D" (at 5.715 2.54 0)
        (effects (font (size 1.27 1.27)) (justify left))
      )
      (property "Value" "SP0503BAHT" (at 5.715 0.635 0)
        (effects (font (size 1.27 1.27)) (justify left))
      )
      (property "Footprint" "Package_TO_SOT_SMD:SOT-143" (at 5.715 -1.27 0)
        (effects (font (size 1.27 1.27)) (justify left) hide)
      )
      (property "Datasheet" "http://www.littelfuse.com/~/media/files/littelfuse/technical%20resources/documents/data%20sheets/sp05xxba.pdf" (at 3.175 3.175 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "usb esd protection suppression transient" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "TVS Diode Array, 5.5V Standoff, 3 Channels, SOT-143 package" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_fp_filters" "SOT?143*" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "SP0503BAHT_0_0"
        (pin passive line (at 0 -5.08 90) (length 2.54)
          (name "A" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
      )
      (symbol "SP0503BAHT_0_1"
        (rectangle (start -4.445 2.54) (end 4.445 -2.54)
          (stroke (width 0.254) (type default))
          (fill (type background))
        )
        (circle (center 0 -1.27) (radius 0.254)
          (stroke (width 0) (type default))
          (fill (type outline))
        )
        (polyline
          (pts
            (xy -2.54 2.54)
            (xy -2.54 1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 -1.27)
            (xy 0 -2.54)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 -1.27)
            (xy 0 1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 2.54)
            (xy 0 1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0.635 1.27)
            (xy 0.762 1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 2.54 2.54)
            (xy 2.54 1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0.635 1.27)
            (xy -0.762 1.27)
            (xy -0.762 1.016)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -3.302 1.016)
            (xy -3.302 1.27)
            (xy -1.905 1.27)
            (xy -1.778 1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -2.54 1.27)
            (xy -2.54 -1.27)
            (xy 2.54 -1.27)
            (xy 2.54 1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -2.54 1.27)
            (xy -1.905 0)
            (xy -3.175 0)
            (xy -2.54 1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0.635 0)
            (xy -0.635 0)
            (xy 0 1.27)
            (xy 0.635 0)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 1.778 1.016)
            (xy 1.778 1.27)
            (xy 3.175 1.27)
            (xy 3.302 1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 2.54 1.27)
            (xy 1.905 0)
            (xy 3.175 0)
            (xy 2.54 1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
      )
      (symbol "SP0503BAHT_1_1"
        (pin passive line (at -2.54 5.08 270) (length 2.54)
          (name "K" (effects (font (size 1.27 1.27))))
          (number "2" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 0 5.08 270) (length 2.54)
          (name "K" (effects (font (size 1.27 1.27))))
          (number "3" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 2.54 5.08 270) (length 2.54)
          (name "K" (effects (font (size 1.27 1.27))))
          (number "4" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "RF_Module:ESP32-WROOM-32" (in_bom yes) (on_board yes)
      (property "Reference" "U" (at -12.7 34.29 0)
        (effects (font (size 1.27 1.27)) (justify left))
      )
      (property "Value" "ESP32-WROOM-32" (at 1.27 34.29 0)
        (effects (font (size 1.27 1.27)) (justify left))
      )
      (property "Footprint" "RF_Module:ESP32-WROOM-32" (at 0 -38.1 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "https://www.espressif.com/sites/default/files/documentation/esp32-wroom-32_datasheet_en.pdf" (at -7.62 1.27 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "RF Radio BT ESP ESP32 Espressif onboard PCB antenna" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "RF Module, ESP32-D0WDQ6 SoC, Wi-Fi 802.11b/g/n, Bluetooth, BLE, 32-bit, 2.7-3.6V, onboard antenna, SMD" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_fp_filters" "ESP32?WROOM?32*" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "ESP32-WROOM-32_0_1"
        (rectangle (start -12.7 33.02) (end 12.7 -33.02)
          (stroke (width 0.254) (type default))
          (fill (type background))
        )
      )
      (symbol "ESP32-WROOM-32_1_1"
        (pin power_in line (at 0 -35.56 90) (length 2.54)
          (name "GND" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 -12.7 180) (length 2.54)
          (name "IO25" (effects (font (size 1.27 1.27))))
          (number "10" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 -15.24 180) (length 2.54)
          (name "IO26" (effects (font (size 1.27 1.27))))
          (number "11" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 -17.78 180) (length 2.54)
          (name "IO27" (effects (font (size 1.27 1.27))))
          (number "12" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 10.16 180) (length 2.54)
          (name "IO14" (effects (font (size 1.27 1.27))))
          (number "13" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 15.24 180) (length 2.54)
          (name "IO12" (effects (font (size 1.27 1.27))))
          (number "14" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 0 -35.56 90) (length 2.54) hide
          (name "GND" (effects (font (size 1.27 1.27))))
          (number "15" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 12.7 180) (length 2.54)
          (name "IO13" (effects (font (size 1.27 1.27))))
          (number "16" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at -15.24 -5.08 0) (length 2.54)
          (name "SHD/SD2" (effects (font (size 1.27 1.27))))
          (number "17" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at -15.24 -7.62 0) (length 2.54)
          (name "SWP/SD3" (effects (font (size 1.27 1.27))))
          (number "18" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at -15.24 -12.7 0) (length 2.54)
          (name "SCS/CMD" (effects (font (size 1.27 1.27))))
          (number "19" (effects (font (size 1.27 1.27))))
        )
        (pin power_in line (at 0 35.56 270) (length 2.54)
          (name "VDD" (effects (font (size 1.27 1.27))))
          (number "2" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at -15.24 -10.16 0) (length 2.54)
          (name "SCK/CLK" (effects (font (size 1.27 1.27))))
          (number "20" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at -15.24 0 0) (length 2.54)
          (name "SDO/SD0" (effects (font (size 1.27 1.27))))
          (number "21" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at -15.24 -2.54 0) (length 2.54)
          (name "SDI/SD1" (effects (font (size 1.27 1.27))))
          (number "22" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 7.62 180) (length 2.54)
          (name "IO15" (effects (font (size 1.27 1.27))))
          (number "23" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 25.4 180) (length 2.54)
          (name "IO2" (effects (font (size 1.27 1.27))))
          (number "24" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 30.48 180) (length 2.54)
          (name "IO0" (effects (font (size 1.27 1.27))))
          (number "25" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 20.32 180) (length 2.54)
          (name "IO4" (effects (font (size 1.27 1.27))))
          (number "26" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 5.08 180) (length 2.54)
          (name "IO16" (effects (font (size 1.27 1.27))))
          (number "27" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 2.54 180) (length 2.54)
          (name "IO17" (effects (font (size 1.27 1.27))))
          (number "28" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 17.78 180) (length 2.54)
          (name "IO5" (effects (font (size 1.27 1.27))))
          (number "29" (effects (font (size 1.27 1.27))))
        )
        (pin input line (at -15.24 30.48 0) (length 2.54)
          (name "EN" (effects (font (size 1.27 1.27))))
          (number "3" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 0 180) (length 2.54)
          (name "IO18" (effects (font (size 1.27 1.27))))
          (number "30" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 -2.54 180) (length 2.54)
          (name "IO19" (effects (font (size 1.27 1.27))))
          (number "31" (effects (font (size 1.27 1.27))))
        )
        (pin no_connect line (at -12.7 -27.94 0) (length 2.54) hide
          (name "NC" (effects (font (size 1.27 1.27))))
          (number "32" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 -5.08 180) (length 2.54)
          (name "IO21" (effects (font (size 1.27 1.27))))
          (number "33" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 22.86 180) (length 2.54)
          (name "RXD0/IO3" (effects (font (size 1.27 1.27))))
          (number "34" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 27.94 180) (length 2.54)
          (name "TXD0/IO1" (effects (font (size 1.27 1.27))))
          (number "35" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 -7.62 180) (length 2.54)
          (name "IO22" (effects (font (size 1.27 1.27))))
          (number "36" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 -10.16 180) (length 2.54)
          (name "IO23" (effects (font (size 1.27 1.27))))
          (number "37" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 0 -35.56 90) (length 2.54) hide
          (name "GND" (effects (font (size 1.27 1.27))))
          (number "38" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 0 -35.56 90) (length 2.54) hide
          (name "GND" (effects (font (size 1.27 1.27))))
          (number "39" (effects (font (size 1.27 1.27))))
        )
        (pin input line (at -15.24 25.4 0) (length 2.54)
          (name "SENSOR_VP" (effects (font (size 1.27 1.27))))
          (number "4" (effects (font (size 1.27 1.27))))
        )
        (pin input line (at -15.24 22.86 0) (length 2.54)
          (name "SENSOR_VN" (effects (font (size 1.27 1.27))))
          (number "5" (effects (font (size 1.27 1.27))))
        )
        (pin input line (at 15.24 -25.4 180) (length 2.54)
          (name "IO34" (effects (font (size 1.27 1.27))))
          (number "6" (effects (font (size 1.27 1.27))))
        )
        (pin input line (at 15.24 -27.94 180) (length 2.54)
          (name "IO35" (effects (font (size 1.27 1.27))))
          (number "7" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 -20.32 180) (length 2.54)
          (name "IO32" (effects (font (size 1.27 1.27))))
          (number "8" (effects (font (size 1.27 1.27))))
        )
        (pin bidirectional line (at 15.24 -22.86 180) (length 2.54)
          (name "IO33" (effects (font (size 1.27 1.27))))
          (number "9" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "Regulator_Linear:AMS1117-3.3" (in_bom yes) (on_board yes)
      (property "Reference" "U" (at -3.81 3.175 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Value" "AMS1117-3.3" (at 0 3.175 0)
        (effects (font (size 1.27 1.27)) (justify left))
      )
      (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (at 0 5.08 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" (at 2.54 -6.35 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "linear regulator ldo fixed positive" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_fp_filters" "SOT?223*TabPin2*" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "AMS1117-3.3_0_1"
        (rectangle (start -5.08 -5.08) (end 5.08 1.905)
          (stroke (width 0.254) (type default))
          (fill (type background))
        )
      )
      (symbol "AMS1117-3.3_1_1"
        (pin power_in line (at 0 -7.62 90) (length 2.54)
          (name "GND" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
        (pin power_out line (at 7.62 0 180) (length 2.54)
          (name "VO" (effects (font (size 1.27 1.27))))
          (number "2" (effects (font (size 1.27 1.27))))
        )
        (pin power_in line (at -7.62 0 0) (length 2.54)
          (name "VI" (effects (font (size 1.27 1.27))))
          (number "3" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "Relay:Relay_SPDT" (in_bom yes) (on_board yes)
      (property "Reference" "K" (at 11.43 3.81 0)
        (effects (font (size 1.27 1.27)) (justify left))
      )
      (property "Value" "Relay_SPDT" (at 11.43 1.27 0)
        (effects (font (size 1.27 1.27)) (justify left))
      )
      (property "Footprint" "" (at 11.43 -1.27 0)
        (effects (font (size 1.27 1.27)) (justify left) hide)
      )
      (property "Datasheet" "~" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "Single Pole Relay SPDT" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "Monostable Relay SPDT, EN50005" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_fp_filters" "Relay?SPDT*" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "Relay_SPDT_0_0"
        (polyline
          (pts
            (xy 7.62 5.08)
            (xy 7.62 2.54)
            (xy 6.985 3.175)
            (xy 7.62 3.81)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
      )
      (symbol "Relay_SPDT_0_1"
        (rectangle (start -10.16 5.08) (end 10.16 -5.08)
          (stroke (width 0.254) (type default))
          (fill (type background))
        )
        (rectangle (start -8.255 1.905) (end -1.905 -1.905)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -7.62 -1.905)
            (xy -2.54 1.905)
          )
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -5.08 -5.08)
            (xy -5.08 -1.905)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -5.08 5.08)
            (xy -5.08 1.905)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -1.905 0)
            (xy -1.27 0)
          )
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -0.635 0)
            (xy 0 0)
          )
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0.635 0)
            (xy 1.27 0)
          )
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 1.905 0)
            (xy 2.54 0)
          )
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 3.175 0)
            (xy 3.81 0)
          )
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 5.08 -2.54)
            (xy 3.175 3.81)
          )
          (stroke (width 0.508) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 5.08 -2.54)
            (xy 5.08 -5.08)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 2.54 5.08)
            (xy 2.54 2.54)
            (xy 3.175 3.175)
            (xy 2.54 3.81)
          )
          (stroke (width 0) (type default))
          (fill (type outline))
        )
      )
      (symbol "Relay_SPDT_1_1"
        (pin passive line (at 5.08 -7.62 90) (length 2.54)
          (name "~" (effects (font (size 1.27 1.27))))
          (number "11" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 2.54 7.62 270) (length 2.54)
          (name "~" (effects (font (size 1.27 1.27))))
          (number "12" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 7.62 7.62 270) (length 2.54)
          (name "~" (effects (font (size 1.27 1.27))))
          (number "14" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at -5.08 7.62 270) (length 2.54)
          (name "~" (effects (font (size 1.27 1.27))))
          (number "A1" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at -5.08 -7.62 90) (length 2.54)
          (name "~" (effects (font (size 1.27 1.27))))
          (number "A2" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "Switch:SW_SPST" (pin_names (offset 0) hide) (in_bom yes) (on_board yes)
      (property "Reference" "SW" (at 0 3.175 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Value" "SW_SPST" (at 0 -2.54 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Footprint" "" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "~" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "switch lever" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "Single Pole Single Throw (SPST) switch" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "SW_SPST_0_0"
        (circle (center -2.032 0) (radius 0.508)
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -1.524 0.254)
            (xy 1.524 1.778)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (circle (center 2.032 0) (radius 0.508)
          (stroke (width 0) (type default))
          (fill (type none))
        )
      )
      (symbol "SW_SPST_1_1"
        (pin passive line (at -5.08 0 0) (length 2.54)
          (name "A" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 5.08 0 180) (length 2.54)
          (name "B" (effects (font (size 1.27 1.27))))
          (number "2" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
      (property "Reference" "#PWR" (at 0 -6.35 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Value" "GND" (at 0 -3.81 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Footprint" "" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "global power" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "GND_0_1"
        (polyline
          (pts
            (xy 0 0)
            (xy 0 -1.27)
            (xy 1.27 -1.27)
            (xy 0 -2.54)
            (xy -1.27 -1.27)
            (xy 0 -1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
      )
      (symbol "GND_1_1"
        (pin power_in line (at 0 0 270) (length 0) hide
          (name "GND" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "power:PWR_FLAG" (power) (pin_numbers hide) (pin_names (offset 0) hide) (in_bom yes) (on_board yes)
      (property "Reference" "#FLG" (at 0 1.905 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Value" "PWR_FLAG" (at 0 3.81 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Footprint" "" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "~" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "flag power" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "Special symbol for telling ERC where power comes from" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "PWR_FLAG_0_0"
        (pin power_out line (at 0 0 90) (length 0)
          (name "pwr" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
      )
      (symbol "PWR_FLAG_0_1"
        (polyline
          (pts
            (xy 0 0)
            (xy 0 1.27)
            (xy -1.016 1.905)
            (xy 0 2.54)
            (xy 1.016 1.905)
            (xy 0 1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
      )
    )
  )

  (junction (at 158.75 101.6) (diameter 0) (color 0 0 0 0)
    (uuid 003ea8e4-604c-4827-9f86-4f70325a0cef)
  )
  (junction (at 162.56 60.96) (diameter 0) (color 0 0 0 0)
    (uuid 077435ab-2781-4e0f-aadb-8d02248f3b67)
  )
  (junction (at 143.51 101.6) (diameter 0) (color 0 0 0 0)
    (uuid 07be99a3-b1de-434f-a154-680a1d13ec0c)
  )
  (junction (at 137.16 46.99) (diameter 0) (color 0 0 0 0)
    (uuid 0b348284-e005-4a16-a6c7-2a24844ba717)
  )
  (junction (at 137.16 36.83) (diameter 0) (color 0 0 0 0)
    (uuid 12db6d15-e97a-487d-95cb-f130af964299)
  )
  (junction (at 87.63 36.83) (diameter 0) (color 0 0 0 0)
    (uuid 2049dd3d-0ef9-4e38-9d6d-c5bab9ca9a7a)
  )
  (junction (at 53.34 33.02) (diameter 0) (color 0 0 0 0)
    (uuid 2a35d6f0-62b6-4efb-9a24-f68a637df99c)
  )
  (junction (at 53.34 20.32) (diameter 0) (color 0 0 0 0)
    (uuid 2b84d178-566f-48a3-8989-f5bcd4070582)
  )
  (junction (at 114.3 20.32) (diameter 0) (color 0 0 0 0)
    (uuid 35f8a89d-3071-4200-a20f-4035ae12242e)
  )
  (junction (at 146.05 45.72) (diameter 0) (color 0 0 0 0)
    (uuid 3855fea1-667b-41f6-9f28-2a1c40dbeb47)
  )
  (junction (at 119.38 101.6) (diameter 0) (color 0 0 0 0)
    (uuid 40c3543e-0d8d-4ec8-a180-a97e24196848)
  )
  (junction (at 35.56 45.72) (diameter 0) (color 0 0 0 0)
    (uuid 430fea69-5e00-47a3-87f8-9a2ceb24ca0a)
  )
  (junction (at 35.56 36.83) (diameter 0) (color 0 0 0 0)
    (uuid 5c809956-d59b-4170-8b91-8b6ec1c2598f)
  )
  (junction (at 140.97 63.5) (diameter 0) (color 0 0 0 0)
    (uuid 6bfd0984-838d-4d2b-b074-fe0033c8bcfd)
  )
  (junction (at 162.56 63.5) (diameter 0) (color 0 0 0 0)
    (uuid 8c29b209-9e05-448f-a983-060b4eb1a03b)
  )
  (junction (at 105.41 30.48) (diameter 0) (color 0 0 0 0)
    (uuid 9bef00f2-7a3b-40fc-845b-d753a076f3be)
  )
  (junction (at 53.34 25.4) (diameter 0) (color 0 0 0 0)
    (uuid 9e6e2a14-95b3-44b2-b4d2-68532db2e85e)
  )
  (junction (at 143.51 60.96) (diameter 0) (color 0 0 0 0)
    (uuid a5d2dd08-69cb-4ce7-bcdc-6c9a1c56fb1b)
  )
  (junction (at 87.63 20.32) (diameter 0) (color 0 0 0 0)
    (uuid b0074400-4da4-4a23-92b1-9e98a08c0c8c)
  )
  (junction (at 179.07 101.6) (diameter 0) (color 0 0 0 0)
    (uuid b0fe28cf-910d-46b0-b630-997d0aad194a)
  )
  (junction (at 22.86 45.72) (diameter 0) (color 0 0 0 0)
    (uuid c52e998f-f765-4578-a78f-9ee8e860240a)
  )
  (junction (at 96.52 20.32) (diameter 0) (color 0 0 0 0)
    (uuid ca73923f-c181-494a-b7ab-d7a63e4677a0)
  )
  (junction (at 154.94 101.6) (diameter 0) (color 0 0 0 0)
    (uuid e172d75f-3efd-40e3-ade7-16d79b59f591)
  )
  (junction (at 121.92 36.83) (diameter 0) (color 0 0 0 0)
    (uuid e1c0ac0a-077e-4d92-a3ce-ec4ea4fdc706)
  )
  (junction (at 163.83 45.72) (diameter 0) (color 0 0 0 0)
    (uuid e73fd328-7d2e-466c-88b7-3643aec305ac)
  )

  (no_connect (at 68.58 58.42) (uuid 06c8cc9b-5a4e-4b27-a830-503fcfa52811))
  (no_connect (at 38.1 83.82) (uuid 07c6ea05-ae97-4052-8c88-e003c16891e1))
  (no_connect (at 68.58 66.04) (uuid 0ca3465b-b997-420c-b781-dbf982ce3889))
  (no_connect (at 87.63 104.14) (uuid 16d0d8e8-f4f9-47bd-a07a-93fde94062cc))
  (no_connect (at 68.58 99.06) (uuid 1e7d2c62-444d-45a7-a828-852d913fccc7))
  (no_connect (at 68.58 96.52) (uuid 24c57111-3e9c-4a74-bfa0-4c00a4cfc5d6))
  (no_connect (at 92.71 104.14) (uuid 25ca1f2a-09f5-439d-b39c-7cb9e5acb0c2))
  (no_connect (at 68.58 60.96) (uuid 2724f537-5609-468a-abbb-02c17a36140b))
  (no_connect (at 68.58 71.12) (uuid 2b418ff9-eb54-4e05-a6c9-4a12b52b7403))
  (no_connect (at 38.1 86.36) (uuid 3ca11b64-a6cb-4ad0-841e-b126cadd595a))
  (no_connect (at 38.1 76.2) (uuid 3fbe50ef-5365-4fbe-adac-79f956eb5e97))
  (no_connect (at 38.1 81.28) (uuid 468a0dc0-830c-45bc-bef5-7a36b67f58cf))
  (no_connect (at 106.68 63.5) (uuid 48a44aeb-25c5-4f1a-92f8-815cf690d43d))
  (no_connect (at 68.58 86.36) (uuid 4ebe54bf-56c0-4fb4-ba92-f251fa53025a))
  (no_connect (at 38.1 88.9) (uuid 54efe772-4fec-49a6-a54f-7f4c22531587))
  (no_connect (at 106.68 71.12) (uuid 594a24e9-f37a-4b39-9c74-f88047e85782))
  (no_connect (at 68.58 73.66) (uuid 60417d3f-6976-4bb6-9bee-aa96c25d9466))
  (no_connect (at 106.68 76.2) (uuid 61b0c719-de31-4cf6-b977-f1e7e213fd99))
  (no_connect (at 68.58 104.14) (uuid 63888d57-23e0-4761-8443-fcd2fae9ca79))
  (no_connect (at 38.1 53.34) (uuid 72ad771e-803f-4bf1-9f18-5029f42dbacf))
  (no_connect (at 116.84 40.64) (uuid 7f26a17f-364a-4da8-9b43-293d13f0a8ca))
  (no_connect (at 68.58 76.2) (uuid 866ef9e2-cc97-4ce1-b1ed-363e0f79ea4f))
  (no_connect (at 68.58 78.74) (uuid 8695f1dd-1ea4-4167-865f-644c968a6e9e))
  (no_connect (at 68.58 50.8) (uuid 86d723a6-17c4-4749-94f2-276c3e2954aa))
  (no_connect (at 38.1 78.74) (uuid 88250911-4b01-49c1-9ba8-af5ead25a667))
  (no_connect (at 106.68 78.74) (uuid 89ea5c4c-d13f-4355-a192-02182b276d3b))
  (no_connect (at 106.68 68.58) (uuid 91a9f322-a607-4233-862d-b4bd4cc23061))
  (no_connect (at 106.68 55.88) (uuid 9b4ef8e2-cda9-4898-a881-2545e758853b))
  (no_connect (at 132.08 45.72) (uuid a2f2918b-9ab1-4169-9810-3a7ae5792f56))
  (no_connect (at 68.58 93.98) (uuid ad516f1f-beb9-4322-90fd-9da74089f664))
  (no_connect (at 106.68 83.82) (uuid b99090f6-16e4-43d0-ada8-57a11b86bf5d))
  (no_connect (at 106.68 60.96) (uuid bf297929-ff80-441b-ae5e-331c79552907))
  (no_connect (at 68.58 83.82) (uuid c471bb88-5088-48ba-9cc0-73c856ac6642))
  (no_connect (at 68.58 81.28) (uuid cf7184b5-9f5b-4005-a187-09eb9d867a90))
  (no_connect (at 106.68 53.34) (uuid de1e0cc1-c828-47f7-9795-442b3a02adb8))
  (no_connect (at 68.58 88.9) (uuid e4e59d01-c6f6-4e28-8fc0-813a0beff4e5))
  (no_connect (at 68.58 68.58) (uuid ecddcee9-8c18-44ca-84e0-18face544b75))
  (no_connect (at 68.58 91.44) (uuid efe72e93-ca69-40c5-8801-920d660aa480))
  (no_connect (at 119.38 40.64) (uuid f3fee885-6abd-4bab-b776-1defbe3d02ec))
  (no_connect (at 68.58 63.5) (uuid f5d19cde-9f0a-42dd-8388-4f30de79d7df))
  (no_connect (at 38.1 50.8) (uuid fa93d1cd-b8cd-4daf-ae8e-eaa2a4b22a57))
  (no_connect (at 106.68 81.28) (uuid faf19b5a-1992-46b4-b0ad-87fb5d21975f))
  (no_connect (at 68.58 101.6) (uuid fc5250eb-ad46-4191-ae51-a7335ae5b405))
  (no_connect (at 90.17 88.9) (uuid fd73354c-267d-4e40-ac1a-a84e25131db3))

  (wire (pts (xy 154.94 50.8) (xy 154.94 67.31))
    (stroke (width 0) (type default))
    (uuid 0182756f-20b5-4ef8-802f-195bf39658b9)
  )
  (wire (pts (xy 80.01 71.12) (xy 80.01 88.9))
    (stroke (width 0) (type default))
    (uuid 0b365a7b-e763-4f58-8459-0a691c3b865b)
  )
  (wire (pts (xy 96.52 20.32) (xy 97.79 20.32))
    (stroke (width 0) (type default))
    (uuid 0d461ab1-5bf8-4d2e-8dae-0b055ee4ce09)
  )
  (wire (pts (xy 158.75 53.34) (xy 163.83 53.34))
    (stroke (width 0) (type default))
    (uuid 0e15da3a-6e75-4315-a1ae-fc26f8e2c0ae)
  )
  (wire (pts (xy 121.92 20.32) (xy 121.92 36.83))
    (stroke (width 0) (type default))
    (uuid 12b1f585-97a3-4072-81bc-e1f0abadd968)
  )
  (wire (pts (xy 146.05 36.83) (xy 146.05 45.72))
    (stroke (width 0) (type default))
    (uuid 1320cf33-bd2b-4222-80b1-1ab14d66adb9)
  )
  (wire (pts (xy 143.51 60.96) (xy 162.56 60.96))
    (stroke (width 0) (type default))
    (uuid 1397044e-1417-4be4-b132-afd8518eaa19)
  )
  (wire (pts (xy 186.69 101.6) (xy 179.07 101.6))
    (stroke (width 0) (type default))
    (uuid 1a3d7b23-914c-4ea3-8b08-f5fbeafc666b)
  )
  (wire (pts (xy 68.58 53.34) (xy 80.01 53.34))
    (stroke (width 0) (type default))
    (uuid 1c41e3ff-cbcc-453f-8cda-e01a82a9c953)
  )
  (wire (pts (xy 35.56 33.02) (xy 35.56 36.83))
    (stroke (width 0) (type default))
    (uuid 1de2e3e7-2f08-4041-b91c-0a530369f5af)
  )
  (wire (pts (xy 53.34 33.02) (xy 53.34 40.64))
    (stroke (width 0) (type default))
    (uuid 1e60d29b-f30f-4257-a77e-a4388f439286)
  )
  (wire (pts (xy 68.58 48.26) (xy 80.01 48.26))
    (stroke (width 0) (type default))
    (uuid 1f0fd42b-e10e-4219-8253-df30682fe669)
  )
  (wire (pts (xy 35.56 20.32) (xy 53.34 20.32))
    (stroke (width 0) (type default))
    (uuid 2181ab84-43ac-48f8-bf16-90c459035ff1)
  )
  (wire (pts (xy 154.94 74.93) (xy 154.94 101.6))
    (stroke (width 0) (type default))
    (uuid 21ac6bde-9d69-4b29-b1a3-6a0a1972c868)
  )
  (wire (pts (xy 105.41 27.94) (xy 105.41 30.48))
    (stroke (width 0) (type default))
    (uuid 2294f7a6-dc53-4e2f-8197-305ca645da7a)
  )
  (wire (pts (xy 137.16 58.42) (xy 137.16 55.88))
    (stroke (width 0) (type default))
    (uuid 25272c2d-bd4b-4d3d-9e3e-d24e6ddeae9e)
  )
  (wire (pts (xy 87.63 36.83) (xy 87.63 38.1))
    (stroke (width 0) (type default))
    (uuid 26754b91-42be-444e-bd87-894297665893)
  )
  (wire (pts (xy 80.01 36.83) (xy 87.63 36.83))
    (stroke (width 0) (type default))
    (uuid 26a650d0-1380-4bab-b456-45bca3423e00)
  )
  (wire (pts (xy 114.3 29.21) (xy 114.3 30.48))
    (stroke (width 0) (type default))
    (uuid 2ceb19d5-c06c-4d4f-b2ef-a166ea38c42f)
  )
  (wire (pts (xy 158.75 67.31) (xy 158.75 53.34))
    (stroke (width 0) (type default))
    (uuid 2e3657ca-088e-4e85-b8af-f3278992e24b)
  )
  (wire (pts (xy 121.92 20.32) (xy 114.3 20.32))
    (stroke (width 0) (type default))
    (uuid 2e4ae9c8-ffc8-4ad0-9baf-dca501db0d33)
  )
  (wire (pts (xy 134.62 46.99) (xy 137.16 46.99))
    (stroke (width 0) (type default))
    (uuid 3078085a-0552-421e-a352-b899ef584ecc)
  )
  (wire (pts (xy 163.83 66.04) (xy 162.56 66.04))
    (stroke (width 0) (type default))
    (uuid 30fa0613-3167-4a79-bd09-2abd86bd2ce1)
  )
  (wire (pts (xy 143.51 60.96) (xy 143.51 74.93))
    (stroke (width 0) (type default))
    (uuid 33857978-4d75-4eb8-9e56-c2ba9f89e4ed)
  )
  (wire (pts (xy 162.56 63.5) (xy 163.83 63.5))
    (stroke (width 0) (type default))
    (uuid 36d9cee0-f174-4a36-8116-c52ba859d093)
  )
  (wire (pts (xy 101.6 53.34) (xy 101.6 45.72))
    (stroke (width 0) (type default))
    (uuid 3bfa343f-b3a2-4685-92da-4ac6d11bfc2a)
  )
  (wire (pts (xy 80.01 43.18) (xy 80.01 36.83))
    (stroke (width 0) (type default))
    (uuid 3c574b9d-4c10-4a5f-bf62-d0c061159af3)
  )
  (wire (pts (xy 35.56 45.72) (xy 38.1 45.72))
    (stroke (width 0) (type default))
    (uuid 406cb6d4-f148-457f-a414-90e3af0d2c92)
  )
  (wire (pts (xy 96.52 20.32) (xy 96.52 21.59))
    (stroke (width 0) (type default))
    (uuid 410328a9-2b6b-43b9-9218-fbd625dc7b3a)
  )
  (wire (pts (xy 77.47 36.83) (xy 35.56 36.83))
    (stroke (width 0) (type default))
    (uuid 446e6852-8784-4318-80b6-737661ea373d)
  )
  (wire (pts (xy 162.56 58.42) (xy 162.56 60.96))
    (stroke (width 0) (type default))
    (uuid 44a97a36-23e9-47a0-9772-8a51e2398103)
  )
  (wire (pts (xy 114.3 20.32) (xy 113.03 20.32))
    (stroke (width 0) (type default))
    (uuid 4573eed1-bb96-4dc2-8c04-954fbd1c0988)
  )
  (wire (pts (xy 53.34 111.76) (xy 53.34 113.03))
    (stroke (width 0) (type default))
    (uuid 459aee96-ee86-45f1-8f18-111344dbc8fa)
  )
  (wire (pts (xy 143.51 101.6) (xy 119.38 101.6))
    (stroke (width 0) (type default))
    (uuid 45e26c6f-6d6b-4c35-aeca-b3fc61aed728)
  )
  (wire (pts (xy 92.71 36.83) (xy 121.92 36.83))
    (stroke (width 0) (type default))
    (uuid 475bf3c0-de87-4672-8fd3-705f69a85408)
  )
  (wire (pts (xy 106.68 58.42) (xy 104.14 58.42))
    (stroke (width 0) (type default))
    (uuid 47f14f8f-6905-43ce-9fd5-8950c60c9423)
  )
  (wire (pts (xy 143.51 102.87) (xy 143.51 101.6))
    (stroke (width 0) (type default))
    (uuid 4e8f01aa-5abc-4fe6-8e29-fa0444694a0b)
  )
  (wire (pts (xy 158.75 74.93) (xy 158.75 101.6))
    (stroke (width 0) (type default))
    (uuid 4ffe67fe-2c68-46d4-8647-eb6accb34c54)
  )
  (wire (pts (xy 90.17 73.66) (xy 90.17 74.93))
    (stroke (width 0) (type default))
    (uuid 52b69ce5-8a94-4de2-b6e1-27ad909a0dad)
  )
  (wire (pts (xy 137.16 45.72) (xy 137.16 46.99))
    (stroke (width 0) (type default))
    (uuid 53633d67-d396-4e02-8c2b-a85aaba87f2f)
  )
  (wire (pts (xy 137.16 38.1) (xy 137.16 36.83))
    (stroke (width 0) (type default))
    (uuid 5ba25e59-3a2e-4e33-9b9b-b7531ed81573)
  )
  (wire (pts (xy 92.71 36.83) (xy 92.71 38.1))
    (stroke (width 0) (type default))
    (uuid 5c735e5e-b1db-4a7d-abff-27d89514fe00)
  )
  (wire (pts (xy 80.01 63.5) (xy 74.93 63.5))
    (stroke (width 0) (type default))
    (uuid 5cffc808-32af-4ed6-a473-a4fe9e6135d4)
  )
  (wire (pts (xy 114.3 30.48) (xy 105.41 30.48))
    (stroke (width 0) (type default))
    (uuid 5e9bca3f-b37d-418b-9c82-eae0e0867fc1)
  )
  (wire (pts (xy 101.6 45.72) (xy 106.68 45.72))
    (stroke (width 0) (type default))
    (uuid 60c15f39-321b-42b5-8742-df7dd0bb6c98)
  )
  (wire (pts (xy 35.56 25.4) (xy 35.56 20.32))
    (stroke (width 0) (type default))
    (uuid 61aaddea-f612-4d1c-af8a-c93fae15c233)
  )
  (wire (pts (xy 74.93 63.5) (xy 74.93 45.72))
    (stroke (width 0) (type default))
    (uuid 6279e70c-2eeb-40d8-b0be-41da2265bbbc)
  )
  (wire (pts (xy 114.3 20.32) (xy 114.3 21.59))
    (stroke (width 0) (type default))
    (uuid 636a7748-48c0-4036-8c85-0ce1f36dc6de)
  )
  (wire (pts (xy 137.16 48.26) (xy 137.16 46.99))
    (stroke (width 0) (type default))
    (uuid 64beeb97-c1a8-431d-b916-68f7c821c9b3)
  )
  (wire (pts (xy 96.52 30.48) (xy 96.52 29.21))
    (stroke (width 0) (type default))
    (uuid 65062331-9a92-4104-89ea-bd2eb3714d3d)
  )
  (wire (pts (xy 34.29 36.83) (xy 35.56 36.83))
    (stroke (width 0) (type default))
    (uuid 65461eb0-50c5-4090-9290-6ed1ca727217)
  )
  (wire (pts (xy 132.08 63.5) (xy 140.97 63.5))
    (stroke (width 0) (type default))
    (uuid 6cb650e5-00cd-4116-b851-f769b9bc3026)
  )
  (wire (pts (xy 162.56 66.04) (xy 162.56 63.5))
    (stroke (width 0) (type default))
    (uuid 6d34c9bb-42fe-4c84-b57d-5ce70370fa2c)
  )
  (wire (pts (xy 179.07 83.82) (xy 179.07 101.6))
    (stroke (width 0) (type default))
    (uuid 751ed025-93bf-4293-8660-732934f1583a)
  )
  (wire (pts (xy 154.94 101.6) (xy 158.75 101.6))
    (stroke (width 0) (type default))
    (uuid 7646b889-097b-4dde-ad1e-d18c8bcb1594)
  )
  (wire (pts (xy 104.14 58.42) (xy 104.14 63.5))
    (stroke (width 0) (type default))
    (uuid 77e5980e-4eeb-4351-af1e-0effc70e0955)
  )
  (wire (pts (xy 121.92 36.83) (xy 137.16 36.83))
    (stroke (width 0) (type default))
    (uuid 7e96ed0c-6744-4356-864a-962d4929c3d4)
  )
  (wire (pts (xy 80.01 58.42) (xy 77.47 58.42))
    (stroke (width 0) (type default))
    (uuid 7f952c48-78af-4a45-92ed-31e0773a7068)
  )
  (wire (pts (xy 143.51 85.09) (xy 143.51 101.6))
    (stroke (width 0) (type default))
    (uuid 7ffcfd8d-cd59-455f-a378-77026c5f8dda)
  )
  (wire (pts (xy 87.63 20.32) (xy 96.52 20.32))
    (stroke (width 0) (type default))
    (uuid 835fdf80-296f-4d25-8695-d58294cc1a89)
  )
  (wire (pts (xy 146.05 45.72) (xy 163.83 45.72))
    (stroke (width 0) (type default))
    (uuid 84c3ff60-f8d4-4681-920f-b6c728dd31a3)
  )
  (wire (pts (xy 74.93 45.72) (xy 68.58 45.72))
    (stroke (width 0) (type default))
    (uuid 89cbf45b-1e6e-4785-a8e3-febaceb8b027)
  )
  (wire (pts (xy 22.86 45.72) (xy 25.4 45.72))
    (stroke (width 0) (type default))
    (uuid 8ca9db77-4ae4-4f55-95a4-3a535df748d7)
  )
  (wire (pts (xy 137.16 36.83) (xy 146.05 36.83))
    (stroke (width 0) (type default))
    (uuid 8cc1f8ce-003e-4dba-abfa-135f047f274a)
  )
  (wire (pts (xy 72.39 71.12) (xy 72.39 55.88))
    (stroke (width 0) (type default))
    (uuid 90db8311-098a-4691-9889-44a5f72300fc)
  )
  (wire (pts (xy 105.41 30.48) (xy 96.52 30.48))
    (stroke (width 0) (type default))
    (uuid 92e1fdc1-8a90-44ad-a0e3-68bfd7604dea)
  )
  (wire (pts (xy 53.34 20.32) (xy 53.34 25.4))
    (stroke (width 0) (type default))
    (uuid 97405a3c-0cb9-4fe8-9710-d93f1fb4f470)
  )
  (wire (pts (xy 186.69 83.82) (xy 186.69 101.6))
    (stroke (width 0) (type default))
    (uuid 9a2c4348-3ccf-4661-9f83-613ebf6fd3e7)
  )
  (wire (pts (xy 35.56 36.83) (xy 35.56 45.72))
    (stroke (width 0) (type default))
    (uuid 9dbd3b3a-ffae-4f7b-a18a-cc0d66a74f16)
  )
  (wire (pts (xy 100.33 58.42) (xy 102.87 58.42))
    (stroke (width 0) (type default))
    (uuid a0208ca6-c3a1-47a2-a280-adce83d9ac8b)
  )
  (wire (pts (xy 72.39 55.88) (xy 68.58 55.88))
    (stroke (width 0) (type default))
    (uuid a26bc5a4-6b06-472e-9529-9a2b778e7f6f)
  )
  (wire (pts (xy 102.87 58.42) (xy 102.87 50.8))
    (stroke (width 0) (type default))
    (uuid a46d4fd1-5ad5-485c-b316-b3b2f3654b20)
  )
  (wire (pts (xy 140.97 63.5) (xy 140.97 74.93))
    (stroke (width 0) (type default))
    (uuid a92f07a2-2f7e-49c4-880b-19404e9871de)
  )
  (wire (pts (xy 119.38 96.52) (xy 119.38 101.6))
    (stroke (width 0) (type default))
    (uuid ac37dbd0-5772-46ad-b588-ecdb6b558284)
  )
  (wire (pts (xy 77.47 58.42) (xy 77.47 36.83))
    (stroke (width 0) (type default))
    (uuid ac41c32c-758d-437c-a9c8-fae796bda1c5)
  )
  (wire (pts (xy 104.14 63.5) (xy 100.33 63.5))
    (stroke (width 0) (type default))
    (uuid b5b51c66-381e-4672-a5c0-7c0176e01322)
  )
  (wire (pts (xy 102.87 50.8) (xy 106.68 50.8))
    (stroke (width 0) (type default))
    (uuid b7446e99-9727-40e5-8fe0-82baeb80e035)
  )
  (wire (pts (xy 121.92 36.83) (xy 121.92 40.64))
    (stroke (width 0) (type default))
    (uuid b9e3ba20-0cdd-494a-88e0-d8682acc39de)
  )
  (wire (pts (xy 22.86 36.83) (xy 22.86 45.72))
    (stroke (width 0) (type default))
    (uuid baf0eab8-17c3-4492-84f8-d430da66b5f2)
  )
  (wire (pts (xy 146.05 45.72) (xy 146.05 74.93))
    (stroke (width 0) (type default))
    (uuid bc3e8e93-3e99-45c8-a389-357300c6e825)
  )
  (wire (pts (xy 80.01 104.14) (xy 80.01 113.03))
    (stroke (width 0) (type default))
    (uuid bc94f2e7-caf1-439a-b1f7-0f8b3ce9bd6c)
  )
  (wire (pts (xy 163.83 50.8) (xy 154.94 50.8))
    (stroke (width 0) (type default))
    (uuid bd7a55ae-e9ed-44b0-a833-5bf21b198c1a)
  )
  (wire (pts (xy 100.33 53.34) (xy 101.6 53.34))
    (stroke (width 0) (type default))
    (uuid be979fd9-c82b-4c4e-b344-19d70f1b232c)
  )
  (wire (pts (xy 72.39 71.12) (xy 80.01 71.12))
    (stroke (width 0) (type default))
    (uuid c52b8160-2ae4-4188-bb91-363b2d63cb9a)
  )
  (wire (pts (xy 132.08 60.96) (xy 143.51 60.96))
    (stroke (width 0) (type default))
    (uuid c5613cee-248b-49f6-89c9-ef698c234021)
  )
  (wire (pts (xy 87.63 20.32) (xy 87.63 36.83))
    (stroke (width 0) (type default))
    (uuid c7ad746d-b2d3-4ab8-9c9f-d5c86eb5c3e6)
  )
  (wire (pts (xy 163.83 58.42) (xy 162.56 58.42))
    (stroke (width 0) (type default))
    (uuid c82a8b4b-f147-4adf-9b83-561e6361315c)
  )
  (wire (pts (xy 132.08 58.42) (xy 134.62 58.42))
    (stroke (width 0) (type default))
    (uuid cf0448ab-e202-4c72-b2f7-7a86d500442f)
  )
  (wire (pts (xy 53.34 25.4) (xy 57.15 25.4))
    (stroke (width 0) (type default))
    (uuid d1417c7a-6cda-4661-8114-93725ee46209)
  )
  (wire (pts (xy 24.13 36.83) (xy 22.86 36.83))
    (stroke (width 0) (type default))
    (uuid d1d9c706-402c-4791-bca4-7f31a5811d27)
  )
  (wire (pts (xy 33.02 45.72) (xy 35.56 45.72))
    (stroke (width 0) (type default))
    (uuid d1e6bef3-345b-49c1-ac4f-1ddb3774f7c7)
  )
  (wire (pts (xy 100.33 48.26) (xy 106.68 48.26))
    (stroke (width 0) (type default))
    (uuid d7ed2ff2-7502-4e77-8f19-aaf0e952d1ed)
  )
  (wire (pts (xy 22.86 48.26) (xy 22.86 45.72))
    (stroke (width 0) (type default))
    (uuid dd64d773-2c02-4fbd-bf9e-53b1c40e3e8f)
  )
  (wire (pts (xy 53.34 33.02) (xy 57.15 33.02))
    (stroke (width 0) (type default))
    (uuid e4a9a80d-7d22-4f9c-bfce-5704d7eccd78)
  )
  (wire (pts (xy 158.75 101.6) (xy 179.07 101.6))
    (stroke (width 0) (type default))
    (uuid e4f7912f-a4ec-4bcb-9462-be80f937ec78)
  )
  (wire (pts (xy 140.97 63.5) (xy 162.56 63.5))
    (stroke (width 0) (type default))
    (uuid f37f8a28-008b-4afc-96bd-737ba9b0c55d)
  )
  (wire (pts (xy 49.53 25.4) (xy 53.34 25.4))
    (stroke (width 0) (type default))
    (uuid f652b3c0-e5b7-4fc8-a010-6e134c0e19fb)
  )
  (wire (pts (xy 143.51 101.6) (xy 154.94 101.6))
    (stroke (width 0) (type default))
    (uuid fa3c4eb4-bd35-42f1-b3b0-ab84487ad36f)
  )
  (wire (pts (xy 134.62 58.42) (xy 134.62 46.99))
    (stroke (width 0) (type default))
    (uuid fa8e7101-e8a0-4ee9-b1eb-3342b2c9567e)
  )
  (wire (pts (xy 49.53 33.02) (xy 53.34 33.02))
    (stroke (width 0) (type default))
    (uuid fb675dc5-e93b-4606-a048-820ea3191316)
  )
  (wire (pts (xy 162.56 60.96) (xy 163.83 60.96))
    (stroke (width 0) (type default))
    (uuid fddab112-a175-444b-a827-8eb7610349b8)
  )
  (wire (pts (xy 53.34 20.32) (xy 87.63 20.32))
    (stroke (width 0) (type default))
    (uuid fe15d9c7-116a-4c71-81e6-2c732107f4b9)
  )

  (symbol (lib_id "power:PWR_FLAG") (at 163.83 45.72 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
    (uuid 086012f7-bb0b-462b-a30f-82ee94626e53)
    (property "Reference" "#FLG01" (at 163.83 43.815 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "PWR_FLAG" (at 163.83 40.64 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Footprint" "" (at 163.83 45.72 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 163.83 45.72 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid eee6deb3-39b8-4233-b981-fcd7c520460c))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "#FLG01") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 105.41 30.48 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 14af679c-e147-4801-a2a3-540e76cf4cc1)
    (property "Reference" "#PWR07" (at 105.41 36.83 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 105.41 34.29 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 105.41 30.48 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 105.41 30.48 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 2d8bde9e-265a-4cf7-af7b-d21f50b3cde7))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "#PWR07") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:R_US") (at 35.56 29.21 0) (mirror y) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 1862af7d-db79-4313-8da6-5c7a7da27dca)
    (property "Reference" "R3" (at 36.83 27.94 0)
      (effects (font (size 1.27 1.27)) (justify right))
    )
    (property "Value" "10kΩ" (at 36.83 30.48 0)
      (effects (font (size 1.27 1.27)) (justify right))
    )
    (property "Footprint" "" (at 34.544 29.464 90)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 35.56 29.21 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 20eb40a1-7882-4717-a476-81c026cc53c9))
    (pin "2" (uuid e6003d1f-0178-4803-b133-5061fb894cef))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "R3") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 22.86 48.26 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 1e1b5ac0-5bcc-494e-85d2-02345ac5746f)
    (property "Reference" "#PWR02" (at 22.86 54.61 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 22.86 52.07 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 22.86 48.26 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 22.86 48.26 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid fe9c6aba-100b-4165-b60e-c1210efaad0c))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "#PWR02") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:R_US") (at 154.94 71.12 0) (mirror y) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 22c5d59a-a992-406e-b82d-ad9ba675662f)
    (property "Reference" "R5" (at 153.67 69.85 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Value" "47.5kΩ" (at 153.67 72.39 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Footprint" "" (at 153.924 71.374 90)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 154.94 71.12 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 6c267bd0-2c2b-41e8-9cc4-9eb578a94a9a))
    (pin "2" (uuid 8d8a2652-180a-4047-a42b-f2575c140a07))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "R5") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 143.51 102.87 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
    (uuid 31a35f24-db35-4541-948f-6b16ecf7892b)
    (property "Reference" "#PWR01" (at 143.51 109.22 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 143.51 107.95 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 143.51 102.87 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 143.51 102.87 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 1617e6df-e93d-4929-b32b-2f6d55c743c3))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "#PWR01") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:PWR_FLAG") (at 53.34 33.02 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
    (uuid 34deefff-810a-4006-bbd4-b9276e415607)
    (property "Reference" "#FLG02" (at 53.34 31.115 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "PWR_FLAG" (at 53.34 27.94 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Footprint" "" (at 53.34 33.02 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 53.34 33.02 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 1f3d23bc-f3a8-4b07-af39-4eb638039087))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "#FLG02") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:C") (at 49.53 29.21 0) (mirror x) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 3e5da5f7-fb5d-4786-a470-7434870b1a51)
    (property "Reference" "C1" (at 46.99 27.94 0)
      (effects (font (size 1.27 1.27)) (justify right))
    )
    (property "Value" "10uF" (at 46.99 30.48 0)
      (effects (font (size 1.27 1.27)) (justify right))
    )
    (property "Footprint" "" (at 50.4952 25.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 49.53 29.21 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "2" (uuid d8a5cb7c-9b1a-40d9-b479-e0538f4be24b))
    (pin "1" (uuid f6d44ead-e720-4600-8336-2dfbf1e14ad4))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "C1") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:R_US") (at 137.16 52.07 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 48904be6-1f1e-4de5-95ed-ceab60d91146)
    (property "Reference" "R2" (at 138.43 50.8 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Value" "47.5kΩ" (at 138.43 53.34 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Footprint" "" (at 138.176 52.324 90)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 137.16 52.07 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid e3c064cf-6064-44f5-86c1-6c1adfa9776e))
    (pin "2" (uuid 70590eb2-c31e-4349-aba2-a15b71fb8503))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "R2") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:C") (at 29.21 45.72 90) (mirror x) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 4b81143c-da41-4bad-affd-b64a347945cf)
    (property "Reference" "C3" (at 29.21 49.53 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "1uF" (at 29.21 52.07 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 33.02 46.6852 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 29.21 45.72 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "2" (uuid c90e1564-b3ca-4bad-a269-5c3cfc66aacc))
    (pin "1" (uuid b0b37e5b-ab6a-44a0-8894-f0e5b04423b3))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "C3") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 80.01 113.03 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
    (uuid 4ebaa7f6-dfe3-454a-b708-2833c7468860)
    (property "Reference" "#PWR05" (at 80.01 119.38 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 80.01 118.11 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 80.01 113.03 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 80.01 113.03 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 83921f92-84d0-469f-af1f-addb506233d1))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "#PWR05") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:R_US") (at 137.16 41.91 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 5731fe00-98d5-430c-849a-16ddb3f3c4da)
    (property "Reference" "R1" (at 138.43 40.64 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Value" "22.1kΩ" (at 138.43 43.18 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Footprint" "" (at 138.176 42.164 90)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 137.16 41.91 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid d8cdc50e-32ae-4a81-8a68-57731b11007e))
    (pin "2" (uuid a38f56a7-376b-4ad3-8a52-258b5ecd1cbe))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "R1") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:C") (at 114.3 25.4 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 75294bc0-1eaf-4911-89cf-47910e10013e)
    (property "Reference" "C4" (at 116.84 24.13 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Value" "10uF" (at 116.84 26.67 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Footprint" "" (at 115.2652 29.21 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 114.3 25.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "2" (uuid 584525ab-dda8-4394-9d60-240a4e5f8950))
    (pin "1" (uuid a50ddc28-2621-46b5-aaaa-5246129de90c))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "C4") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Power_Protection:SP0503BAHT") (at 143.51 80.01 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 7f2ffa7b-7639-4a02-9955-5c3683f9d7f3)
    (property "Reference" "D1" (at 142.24 85.09 0)
      (effects (font (size 1.27 1.27)) (justify right))
    )
    (property "Value" "SP0503BAHT" (at 142.24 87.63 0)
      (effects (font (size 1.27 1.27)) (justify right))
    )
    (property "Footprint" "Package_TO_SOT_SMD:SOT-143" (at 149.225 81.28 0)
      (effects (font (size 1.27 1.27)) (justify left) hide)
    )
    (property "Datasheet" "http://www.littelfuse.com/~/media/files/littelfuse/technical%20resources/documents/data%20sheets/sp05xxba.pdf" (at 146.685 76.835 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "4" (uuid 1f305413-895e-4aa7-97f3-fa8947226596))
    (pin "3" (uuid 2526518f-768f-4c18-a491-13ac30296cfa))
    (pin "2" (uuid 2079baea-8c94-4004-a07a-768b70813f84))
    (pin "1" (uuid 8730db9c-9eb0-45e0-aee2-f726357d4d7f))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "D1") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:C") (at 96.52 25.4 0) (mirror y) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 90dc1c06-23a1-4b04-aeac-4664fef42589)
    (property "Reference" "C5" (at 93.98 24.13 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Value" "22uF" (at 93.98 26.67 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Footprint" "" (at 95.5548 29.21 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 96.52 25.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "2" (uuid 3c74e72c-6697-4e56-ad63-f9c4da822069))
    (pin "1" (uuid 00d3a222-c30f-49f8-a0a7-3fed0337129c))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "C5") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Switch:SW_SPST") (at 29.21 36.83 0) (mirror y) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 95696a68-a430-49ca-92d8-8c62b71b5d7a)
    (property "Reference" "SW1" (at 29.21 30.48 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "SW_SPST" (at 29.21 33.02 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 29.21 36.83 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 29.21 36.83 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 62bb81f3-170c-45e5-860d-9bd81529608a))
    (pin "2" (uuid 3e38813c-a15f-4712-95d2-1e82bdb21baa))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "SW1") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Interface_USB:CP2102N-Axx-xQFN24") (at 119.38 68.58 0) (mirror y) (unit 1)
    (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
    (uuid a706e2f1-0d10-428a-a699-ef22890c87d5)
    (property "Reference" "U2" (at 119.7259 96.52 0)
      (effects (font (size 1.27 1.27)) (justify right))
    )
    (property "Value" "CP2102N-Axx-xQFN24" (at 119.7259 99.06 0)
      (effects (font (size 1.27 1.27)) (justify right))
    )
    (property "Footprint" "Package_DFN_QFN:QFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm" (at 87.63 95.25 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "https://www.silabs.com/documents/public/data-sheets/cp2102n-datasheet.pdf" (at 118.11 87.63 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "17" (uuid ddb745b8-9410-4c2e-9896-ea6939762805))
    (pin "19" (uuid 2712c048-ddaa-4de3-bf36-7c847bc218bd))
    (pin "7" (uuid 7397b270-1292-489f-8a55-2e6e583e1b8c))
    (pin "21" (uuid c2346f17-1d9d-4005-8d0a-4b121de46457))
    (pin "24" (uuid 5e0af6cb-b3a8-48fb-b2db-8c045c27e1cc))
    (pin "22" (uuid db5bf773-a192-44d4-9651-8c8d10b46322))
    (pin "8" (uuid acb4a442-f368-495d-a8ea-52ecec4e3952))
    (pin "16" (uuid da0c34a0-eefe-4d82-94bd-f4d358d90042))
    (pin "5" (uuid 34d1d3a5-2033-4948-823c-1ba1a73f2f71))
    (pin "1" (uuid 0fe3c721-b405-452a-8600-e5aef5aad9bb))
    (pin "23" (uuid 0103f6c6-d254-4e52-9350-9bceae082f0f))
    (pin "3" (uuid f3ae80bf-9bf6-42a4-bdb1-f71d0e3ac622))
    (pin "10" (uuid ba73037c-b9ef-4608-94fb-74d1215b7ef7))
    (pin "14" (uuid ffa9a5d8-7069-4a98-b92b-c5b00f96a0c2))
    (pin "11" (uuid eeece6be-345d-467d-9024-c9ed9b8ad279))
    (pin "15" (uuid cd730683-13d2-48ff-84a4-6dcf3610d2d0))
    (pin "12" (uuid 528f953c-4cf8-4b7c-af5f-5174152fa629))
    (pin "13" (uuid e09f5284-9b87-481c-813c-10e23c5c017e))
    (pin "6" (uuid 263da9a7-9ac8-4294-9520-f7887f0aa7a1))
    (pin "20" (uuid 8920a1c5-ead0-42ab-8803-367d07545ad6))
    (pin "2" (uuid 4d0d99d3-68ff-48c7-8cbf-4ba64ae2464a))
    (pin "18" (uuid c4d94154-9b2d-49ed-befa-f99a061f0bb8))
    (pin "9" (uuid 9a6f4cb4-d733-418b-be1a-7f6d69584e78))
    (pin "25" (uuid 329a9ca2-3fe0-4c8a-875e-9b9adcafd3f5))
    (pin "4" (uuid 6cdcd976-dcc0-4315-99a7-5052fbf2491d))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "U2") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Logic_LevelTranslator:TXB0104PW") (at 90.17 55.88 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid a7dac6c5-a326-434b-99a3-e9bea6f2f292)
    (property "Reference" "U4" (at 92.71 72.39 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Value" "TXB0104PW" (at 92.71 74.93 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Footprint" "Package_SO:TSSOP-14_4.4x5mm_P0.65mm" (at 90.17 74.93 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "http://www.ti.com/lit/ds/symlink/txb0104.pdf" (at 92.964 53.467 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid b9e5f384-ccea-4421-9bfc-8f40b0dcc33b))
    (pin "8" (uuid c4eab8cb-acda-4472-9e01-58343e814a1f))
    (pin "10" (uuid c872e007-5a39-4630-9dfa-c156e21a07c5))
    (pin "13" (uuid 1774d273-e3ce-42d5-b68f-9586d7a11bf2))
    (pin "12" (uuid 24a4f2c6-35c0-4909-a29b-b044802b759a))
    (pin "5" (uuid e7b74c61-6737-4cd8-978b-3e5a0c9b852c))
    (pin "9" (uuid aab37de6-1a62-4c62-920f-4739b4c8f77a))
    (pin "3" (uuid 73244738-d18a-4aaa-b83c-5c458547cccb))
    (pin "4" (uuid ea6f3021-a5a1-44b9-b14b-c71c974a8dce))
    (pin "11" (uuid fc664b3d-2fa6-43da-90e3-848d09cbd427))
    (pin "6" (uuid be7e3ea2-fda2-4cb2-a2fa-24b9d300248d))
    (pin "2" (uuid 06db0a63-b48d-43fa-9e71-56ca8bac7368))
    (pin "7" (uuid d96eef2a-b31e-4ac7-9948-57216f19aa01))
    (pin "14" (uuid bfb28565-4fd3-4747-b535-ea9579c0897c))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "U4") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:PWR_FLAG") (at 119.38 101.6 90) (unit 1)
    (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
    (uuid a9f1c724-bcbe-4b3a-a9da-f69483433c58)
    (property "Reference" "#FLG03" (at 117.475 101.6 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "PWR_FLAG" (at 115.57 101.6 90)
      (effects (font (size 1.27 1.27)) (justify left) hide)
    )
    (property "Footprint" "" (at 119.38 101.6 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 119.38 101.6 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid f04e178d-1959-495c-95e7-503fa1da2477))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "#FLG03") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Relay:Relay_SPDT") (at 85.09 96.52 0) (mirror x) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid b2225c32-0318-48d8-8562-1a7855b85a5a)
    (property "Reference" "K1" (at 96.52 96.52 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Value" "Relay_SPDT" (at 96.52 99.06 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Footprint" "" (at 96.52 95.25 0)
      (effects (font (size 1.27 1.27)) (justify left) hide)
    )
    (property "Datasheet" "~" (at 85.09 96.52 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "A2" (uuid 014d2ef8-dbe5-4e93-ad3e-f31ca0bb3a0b))
    (pin "14" (uuid d94c95a8-3f26-4e97-a59b-234b8493ae31))
    (pin "11" (uuid 7a4956cc-c81a-4b0e-9e33-6fa021027ede))
    (pin "12" (uuid 52b82402-932a-4b2a-ad6b-9009a13f4809))
    (pin "A1" (uuid b4dbf8ae-aeaf-463e-9db6-c0615d8c11d7))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "K1") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:C") (at 57.15 29.21 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid b6553355-2d71-43c7-8541-f78b80449c3f)
    (property "Reference" "C2" (at 59.69 27.94 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Value" "0.1uF" (at 59.69 30.48 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Footprint" "" (at 58.1152 33.02 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 57.15 29.21 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "2" (uuid 5a85c4f0-a0a6-4468-b442-3faf133371b9))
    (pin "1" (uuid 475c9374-0c20-42f2-b387-f6bead1878ef))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "C2") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "RF_Module:ESP32-WROOM-32") (at 53.34 76.2 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid be5a8ef5-ae8b-4fc7-afe1-183692831e15)
    (property "Reference" "U1" (at 55.88 39.37 0)
      (effects (font (size 1.27 1.27)) (justify left top))
    )
    (property "Value" "ESP32-WROOM-32" (at 55.88 41.91 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Footprint" "RF_Module:ESP32-WROOM-32" (at 53.34 114.3 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "https://www.espressif.com/sites/default/files/documentation/esp32-wroom-32_datasheet_en.pdf" (at 45.72 74.93 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "38" (uuid 0631e3a5-f273-4ed4-9b19-cd5973bc5b61))
    (pin "22" (uuid 38bf3184-3eee-450b-8541-09a215634213))
    (pin "31" (uuid 57285170-1d5b-47cd-8e1f-1744903ae5ee))
    (pin "30" (uuid dbacf49e-1f83-413b-87b5-ea87fa06e730))
    (pin "6" (uuid c3b8c1c4-bf1f-4f3b-99e4-d4c06cd906bf))
    (pin "25" (uuid 2fc4c101-9897-4d0c-991a-4cec0a6d61e6))
    (pin "26" (uuid c38f110a-caa7-4385-9a2a-2af6f3623311))
    (pin "2" (uuid ac00d45c-651a-4e21-8e95-90184dfea140))
    (pin "27" (uuid 99d3e7c2-4a68-49be-9686-3400c5ade8ae))
    (pin "32" (uuid e02b1558-1382-488e-847e-594918c8a32f))
    (pin "33" (uuid 4d6ecb8a-f53f-4828-92d7-bd2c87fc608c))
    (pin "28" (uuid 43708d21-dcbb-4cda-ac4e-f2fdcd1d6dab))
    (pin "29" (uuid d54e56f3-4575-4e1a-b0a4-561b8bae401c))
    (pin "16" (uuid 4d593b5c-2410-426b-8a38-e195ba1cc58e))
    (pin "18" (uuid df013d01-730d-4267-ae82-8ee6740868eb))
    (pin "4" (uuid 261a2a98-1e4c-44b8-b772-8932fcd1d56b))
    (pin "9" (uuid 96631215-07b3-411b-9d0b-b60cd97f385e))
    (pin "37" (uuid bad11b9f-9ec3-49a7-9c7c-e22d1e93e062))
    (pin "24" (uuid d947512e-2288-4699-943f-dd7004c46ebb))
    (pin "5" (uuid 3e06e8da-ec3d-48c7-b3ba-adc624c2f716))
    (pin "3" (uuid 8598f3a9-c407-431f-9a38-d9385d28fcd9))
    (pin "36" (uuid f167d308-a99e-460f-819c-3401197fbb8f))
    (pin "12" (uuid 92f33e9b-59f7-4b3f-af70-c0ec403b650a))
    (pin "13" (uuid 9d6a9f61-47c4-4185-8c6a-0831497e411a))
    (pin "11" (uuid 6e94e183-f3e6-4627-b849-b41527514d47))
    (pin "15" (uuid e85b9b70-230b-4f50-9d19-7413aecbeb5b))
    (pin "14" (uuid 68c18644-ff5c-4b2c-ae4d-669b4557587b))
    (pin "35" (uuid 5d731de0-2586-4ebf-a6dc-f6bcc2e798b2))
    (pin "34" (uuid c3e17356-01cf-4b17-b37f-5ac784991d7f))
    (pin "8" (uuid 1562493f-af15-441d-89f3-a52e09510b3c))
    (pin "23" (uuid f397f884-f026-4a30-83bf-3f929818ce43))
    (pin "21" (uuid 78471908-bfb1-4fcd-98dd-a6901ec6d9f6))
    (pin "39" (uuid 1c4c55b4-bc22-4a86-9a10-7e6020c79d91))
    (pin "17" (uuid 89212ae8-01ce-4349-b204-87bfa29b4359))
    (pin "20" (uuid 43f5eebe-03cf-424d-a8e4-a0c56beaa059))
    (pin "7" (uuid a2b1ebfe-f63c-47a8-9858-69e7d818fbfd))
    (pin "19" (uuid 86776017-fb55-40e1-ac17-3c5e3b6cbf37))
    (pin "10" (uuid b7d343cc-77e6-4668-9cdd-181d1460d17d))
    (pin "1" (uuid f16d9ebd-54f2-45c4-9cfb-72ae665bf00e))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "U1") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 137.16 58.42 90) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid bfab81b9-6fc8-4d31-a0fb-d0c852db9721)
    (property "Reference" "#PWR04" (at 143.51 58.42 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 139.7 58.42 90)
      (effects (font (size 1.27 1.27)) (justify right))
    )
    (property "Footprint" "" (at 137.16 58.42 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 137.16 58.42 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 11867b1c-408c-42e2-bff1-2bb1c0886d76))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "#PWR04") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Regulator_Linear:AMS1117-3.3") (at 105.41 20.32 0) (mirror y) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid c6b75dbc-0de9-411e-a142-ac43349392ac)
    (property "Reference" "U5" (at 105.41 13.97 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "AMS1117-3.3" (at 105.41 16.51 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (at 105.41 15.24 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" (at 102.87 26.67 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid e770a176-d479-4bf1-909b-d2bb56429380))
    (pin "3" (uuid 5293b568-6e75-4830-be62-ba5908e2e6dc))
    (pin "2" (uuid e5cd5089-9d7b-453a-82d7-a72fffd810c2))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "U5") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Connector:USB_C_Receptacle_USB2.0_14P") (at 179.07 60.96 0) (mirror y) (unit 1)
    (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
    (uuid d439a207-de09-49e1-b756-d2cd9c1af727)
    (property "Reference" "P1" (at 190.5 59.69 0)
      (effects (font (size 1.27 1.27)) (justify right))
    )
    (property "Value" "USB_C_Plug_USB2.0" (at 190.5 62.23 0)
      (effects (font (size 1.27 1.27)) (justify right))
    )
    (property "Footprint" "" (at 175.26 60.96 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "https://www.usb.org/sites/default/files/documents/usb_type-c.zip" (at 175.26 60.96 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "B1" (uuid 546d9506-8205-455a-9cbe-2ef705b35138))
    (pin "B4" (uuid 7dc2fec3-e2cd-4aff-8409-a586272e13a1))
    (pin "S1" (uuid 505e60fb-0985-457d-8a52-a30e686d93a8))
    (pin "B5" (uuid 46a7b756-93ac-450c-98d5-525e92bbd3fb))
    (pin "B9" (uuid bf89b340-b2d0-4c9b-ae11-97a49c504834))
    (pin "B12" (uuid d37ba48d-23ee-4b56-903d-b1c3a94a4f45))
    (pin "A1" (uuid d2c4a3ab-a6af-4e04-a410-f647b0b552d5))
    (pin "A7" (uuid 696cfa5f-feae-44e6-b9cc-a5beee4904fc))
    (pin "A9" (uuid f2a137bb-dd14-44c4-8651-84d199654144))
    (pin "A5" (uuid 5d7ec326-7701-4fd2-90bf-a966fbbf3067))
    (pin "A12" (uuid 1dd48c79-9f76-46be-b6ea-5a64cd710f13))
    (pin "A6" (uuid be26effc-a231-45ae-940a-af1251865ffb))
    (pin "A4" (uuid c5a42036-96e7-433c-9913-bf52b7b72582))
    (pin "B7" (uuid ce62a0a7-e6fb-445c-8604-576441b5eb95))
    (pin "B6" (uuid 376fe37e-755e-472b-aac3-80aad4438073))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "P1") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 90.17 74.93 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
    (uuid d95f6133-8536-418f-a199-e62d4b0129dc)
    (property "Reference" "#PWR06" (at 90.17 81.28 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 90.17 80.01 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 90.17 74.93 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 90.17 74.93 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 2c196111-c1a0-4244-b483-aab2992c7bb8))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "#PWR06") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:R_US") (at 158.75 71.12 0) (mirror y) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid e3821f5c-e4d6-4544-90bc-756fbcb83a78)
    (property "Reference" "R4" (at 160.02 69.85 0)
      (effects (font (size 1.27 1.27)) (justify right))
    )
    (property "Value" "47.5kΩ" (at 160.02 72.39 0)
      (effects (font (size 1.27 1.27)) (justify right))
    )
    (property "Footprint" "" (at 157.734 71.374 90)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 158.75 71.12 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 92652a48-3e12-4352-afed-c3ebe140b535))
    (pin "2" (uuid a67c7dfd-3afc-4dd7-8ab6-a57a12d83d40))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "R4") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 53.34 113.03 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
    (uuid fb20f153-811c-45a5-a6e1-cf741b8b4483)
    (property "Reference" "#PWR03" (at 53.34 119.38 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 53.34 118.11 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 53.34 113.03 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 53.34 113.03 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid ebfa3b72-18eb-4f58-a5f8-1f0af5c9e64c))
    (instances
      (project "ShelSP"
        (path "/5a1571d2-9eab-4efc-8681-53811a5db50d"
          (reference "#PWR03") (unit 1)
        )
      )
    )
  )

  (sheet_instances
    (path "/" (page "1"))
  )
)